Article ID: 000073738 Content Type: Troubleshooting Last Reviewed: 09/27/2016

Why does the I/O standard assignment affect the VCCIO voltage of neighboring unused bank?

Environment

  • Quartus® II Subscription Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Description

    Due to a fitter report bug,  an I/O standard assignment will affect the VCCIO voltage of its adjacent unused banks in Stratix® V devices under all of following conditions:

    - The default I/O standard is 3.3V
    - The I/O standard requiring the VCCPD of 2.5V is assigned to an I/O bank
    - The above I/O bank shares the VCCPD with its adjacent I/O bank
    - The above adjacent I/O bank is unused

    In this case, the VCCIO voltage of the unused adjacent I/O bank unexpectedly shows 1.2V in the fitter report.

    For example, if you assign 2.5V I/O standard to the I/O banks 7A and 7B, when the default I/O standard is 3.3V and the I/O banks 7C and 7D is unused, the VCCIO voltage of 7C and 7D shows 1.2V in the fitter report.

    Since this is only the fitter report bug, you can ignore the report and supply proper desired voltage. 

    Resolution

    This problem is  fixed in the Quartus® II software version 13.0.

    Related Products

    This article applies to 4 products

    Stratix® V GT FPGA
    Stratix® V GS FPGA
    Stratix® V E FPGA
    Stratix® V GX FPGA