AN 817: Static Update Partial Reconfiguration Tutorial: for Intel® Arria® 10 GX FPGA Development Board

ID 683428
Date 7/15/2019
Public

1.5.9. Step 9: Change the SUPR Logic

To change the functionality of the logic within the SUPR partition, you must change the SUPR partition source. Complete the following steps to replace the u_top_counter instance in the SUPR partition with the top_counter_fast entity.
  1. To set the SUPR implementation revision as current, click Project > Revisions and set impl_blinking_led_supr_new as the current revision, or select the revision on the Intel® Quartus® Prime main toolbar.
  2. To verify the correct source file for the implementation revision, click Project > Add/Remove files in Project, and verify that top_counter_fast.sv is the source for the impl_blinking_led_supr_new implementation revision. If present, remove top_counter.sv from the list of project files.
  3. To specify the .qdb file associated with the root partition, click Assignments > Design Partitions Window, and then double-click the Partition Database File cell to specify blinking_led_static.qdb.
    Alternatively, use the following command to assign this file:
    set_instance_assignment -name QDB_FILE_PARTITION \
         blinking_led_static.qdb -to |
  4. In the Entity Re-binding cell for pr_partition, specify the appropriate entity name. For this example, specify the blinking_led_empty entity. In this case, you are overwriting the u_blinking_led instance from the base revision compile with the new entity blinking_led_empty. The following line now exists in the .qsf:
    ##impl_blinking_led_supr_new.qsf
    set_instance_assignment -name ENTITY_REBINDING blinking_led_empty \
         -to u_blinking_led
  5. In the Entity Re-binding cell for supr_partition, specify the top_counter_fast entity. top_counter_fast is the name of the static entity that replaces u_top_counter when you complete the SUPR.
    ##impl_blinking_led_supr_new.qsf
    set_instance_assignment -name ENTITY_REBINDING top_counter_fast \
         -to u_top_counter
  6. Before compiling the implementation revision, make sure the revision's .qsf file (impl_blinking_led_supr_new.qsf) contains the following assignment. This assignment directs the Assembler to automatically generate the required PR bitstreams following compilation:
    set_global_assignment -name GENERATE_PR_RBF_FILE ON
    set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION OFF
  7. To compile the design, click Processing > Start Compilation. Alternatively, use following command to compile this project revision:
    quartus_sh --flow compile blinking_led –c \
         impl_blinking_led_supr_new