AN-811: Using the Avery BFM for PCI Express Gen3x16 Simulation on Intel® Stratix® 10 Devices

ID 683477
Date 1/23/2018
Public

ModelSim

When using the ModelSim simulator you are required to set some environment variables that are used both to configure the Avery BFM and to run the simulation.
  1. Open modelsim/mentor.do in a text editor.
  2. Modify TOP_LEVEL_NAME to match your project. By default, an example design generated by Platform Designer will have a top level module name of pcie_example_design_tb.pcie_example_design_tb. Only modify this variable if you have changed the name of the top-level file.
  3. Modify QSYS_SIMDIR to match the path to your project’s simulation directory. This can be either an absolute path or a relative path. The default value assumes that you unzipped the Avery simulation files to the <Example_Design_Directory>/pcie_example_design_tb/pcie_example_design_tb directory. If you unzipped them elsewhere or made any other changes to the structure or naming of the project, then you must change this path.
  4. Modify AVERY_PCIE to match the path to the Avery BFM.
  5. Modify QUARTUS_INSTALL_DIR to match the path to your Intel® Quartus® Prime Pro Edition software installation.
  6. Modify AVERY_PLI to match the path to the Avery PLI library.
  7. Modify USER_DEFINED_ELAB_OPTIONS. By default, these options reference the PLI library and instruct elaboration to wait for an available Avery license. Modify this variable only if you need to make changes to these options.
  8. Modify USER_DEFINED_COMPILE_OPTIONS. By default, PIPE simulation is enabled. To enable serial simulation, append +define+APCI_NEW_PHY to the user compile options. For example, set the variable to "+define+APCI_DUMP_WLF+define+APCI_NEW_PHY".