Quartus® Prime Pro Edition User Guide: Timing Analyzer

ID 683243
Date 4/01/2024
Public
Document Table of Contents

2.2.2.1. Specifying SDC-on-RTL Timing Constraints

To specify SDC-on-RTL timing constraints for post-synthesis Early Timing Analysis, follow these steps:
  1. In the Quartus® Prime software, click File > New and then select the SDC File Targeting RTL Names (.rtlsdc) file type. The new file opens in the Text Editor.
    You can specify any extension for SDC-on-RTL constraints, but this document always uses the file extension .rtlsdc to distinguish from conventional SDC files.
  2. In the Text Editor, define SDC-on-RTL constraints. You can click Edit > Insert Template > Timing Analyzer to insert available SDC templates. Alternatively, use any other text editor to enter the constraints and save as .rtlsdc file type.
    Note: SDC-on-RTL constraints support a subset of conventional SDC commands. The syntax and arguments of SDC-on-RTL constraints aligns with the SDC 2.1 standard. The Quartus Prime software may support more than just the SDC 2. 1 commands because of some Quartus Prime software-specific arguements.
    Figure 39. Inserting SDC Templates


    Note: The -comment argument in SDC-on-RTL allows you to add a constraint comment. This comment does not appear in timing analysis reports.
  3. Save the .rtlsdc file in the Quartus® Prime Text Editor, turning on the Add File to Project option.
    Note: You can add any SDC file to the project at any time by clicking Assignments > Settings > Timing Analyzer.
  4. Run post-synthesis Early Timing Analysis, as Running Post-Synthesis Early Timing Analysis describes.
    Note: As an alternative to SDC-on-RTL constraints, you can consider initially specifying synthesis-only constraints that apply only to the Analysis & Synthesis stage of compilation, as Specifying Synthesis-Only SDC Timing Constraints describes.