Quartus® Prime Pro Edition Settings File Reference Manual

ID 683296
Date 4/01/2024
Public
Document Table of Contents

REPORT_SOURCE_ASSIGNMENTS_PRO

Specifies whether the synthesis report should include the panels in the Source Assignments folder

Type

Boolean

Device Support

  • This setting can be used in projects targeting any Intel FPGA device family.

Notes

This assignment is included in the Analysis & Synthesis report.

Syntax

set_global_assignment -name REPORT_SOURCE_ASSIGNMENTS_PRO <value>

Default Value

On