Quartus® Prime Pro Edition Settings File Reference Manual

ID 683296
Date 4/01/2024
Public
Document Table of Contents

EDA_SIMULATION_RUN_SCRIPT

Specifies the script file for running a third-party simulation in Command/macro mode.

Type

File name

Device Support

  • This setting can be used in projects targeting any Intel FPGA device family.

Notes

The value of this assignment is case sensitive.

Syntax

set_global_assignment -name EDA_SIMULATION_RUN_SCRIPT -section_id <section identifier> <value>
set_global_assignment -name EDA_SIMULATION_RUN_SCRIPT -entity <entity name> -section_id <section identifier> <value>