Embedded Design Handbook

ID 683689
Date 8/28/2023
Public
Document Table of Contents

6.3.1.3. Signal Tap II Embedded Logic Analyzer

The Signal Tap II embedded logic analyzer is available in the Intel® Quartus® Prime software. It reuses the JTAG pins of the FPGA and has a low Intel® Quartus® Prime fitter priority, allowing it to be non-intrusive. Because this logic analyzer is integrated in your design automatically, it takes synchronized measurements without the undesirable side effects of output pin capacitance or I/O delay. The Signal Tap II embedded logic analyzer also supports Tcl scripting so that you can automate data capture, duplicating the functionality that external logic analyzers provide.

This logic analyzer can operate while other JTAG components, including the Nios® II JTAG debug module and JTAG UART, are in use, allowing you to perform co-verification. You can use the plug-in support available with the Signal Tap II embedded logic analyzer to enhance your debug capability with any of the following:

  • Instruction address triggering
  • Non-processor related triggering
  • Software disassembly
  • Instruction display (in hexadecimal or symbolic format)

You can also use this logic analyzer to capture data from your embedded system for analysis by the MATLAB software from Mathworks. The MATLAB software receives the data using the JTAG connection and can perform post processing analysis. Using looping structures, you can perform multiple data capture cycles automatically in the MATLAB software, instead of manually controlling the logic analyzer using the Intel® Quartus® Prime design software.

Because the Signal Tap II embedded logic analyzer uses the FPGA’s JTAG connection, continuous data triggering may result in lost samples. For example, if you capture data continuously at 100 MHz, you should not expect all of your samples to be displayed in the logic analyzer GUI. The logic analyzer buffers the data at 100 MHz; however, if the JTAG interface becomes saturated, samples are lost.

To learn more about Signal Tap II embedded logic analyzer and co-verification, refer to AN446: Debugging Nios® II Systems with the Signal Tap II Embedded Logic Analyzer and the Intel Quartus Prime Pro Edition User Guide: Debug Tools.